咨询与建议

限定检索结果

文献类型

  • 36 篇 期刊文献
  • 2 篇 会议

馆藏范围

  • 38 篇 电子文献
  • 0 种 纸本馆藏

日期分布

学科分类号

  • 36 篇 工学
    • 25 篇 电子科学与技术(可...
    • 24 篇 电气工程
    • 19 篇 材料科学与工程(可...
    • 10 篇 信息与通信工程
    • 5 篇 机械工程
    • 5 篇 控制科学与工程
    • 3 篇 动力工程及工程热...
    • 3 篇 计算机科学与技术...
    • 2 篇 仪器科学与技术
    • 2 篇 石油与天然气工程
    • 1 篇 光学工程
    • 1 篇 航空宇航科学与技...
    • 1 篇 环境科学与工程(可...
    • 1 篇 网络空间安全
  • 22 篇 理学
    • 19 篇 物理学
    • 16 篇 化学
    • 2 篇 天文学
    • 1 篇 大气科学
    • 1 篇 系统科学
  • 1 篇 医学
    • 1 篇 临床医学
  • 1 篇 军事学
    • 1 篇 军队指挥学
  • 1 篇 管理学
    • 1 篇 图书情报与档案管...

主题

  • 38 篇 phase-locked loo...
  • 5 篇 charge pump
  • 3 篇 cmos
  • 3 篇 frequency synthe...
  • 3 篇 phase noise
  • 2 篇 adaptive frequen...
  • 2 篇 phase frequency ...
  • 2 篇 phase margin
  • 2 篇 current mismatch
  • 2 篇 voltage-controll...
  • 2 篇 loop filter
  • 1 篇 low power
  • 1 篇 small-signal sta...
  • 1 篇 receptive field
  • 1 篇 low jitter
  • 1 篇 optical frequenc...
  • 1 篇 phase detector
  • 1 篇 clock-recovery
  • 1 篇 coarse-tuning
  • 1 篇 matlab

机构

  • 3 篇 state key labora...
  • 2 篇 institute of mic...
  • 2 篇 ieee
  • 1 篇 fujian key labor...
  • 1 篇 department of el...
  • 1 篇 rfic and system ...
  • 1 篇 school of electr...
  • 1 篇 beijing 100029
  • 1 篇 department of el...
  • 1 篇 department of au...
  • 1 篇 rf application g...
  • 1 篇 computer school ...
  • 1 篇 institute of mic...
  • 1 篇 global energy in...
  • 1 篇 key laboratory o...
  • 1 篇 department of el...
  • 1 篇 dept. of electri...
  • 1 篇 department of en...
  • 1 篇 slate key labora...
  • 1 篇 state key labora...

作者

  • 4 篇 洪志良
  • 4 篇 黄煜梅
  • 2 篇 夏玲琍
  • 2 篇 郑永正
  • 2 篇 李伟男
  • 2 篇 潘姚华
  • 2 篇 梅年松
  • 1 篇 杨立吾
  • 1 篇 谢银芳
  • 1 篇 张民选
  • 1 篇 meng huang
  • 1 篇 guangfu tang
  • 1 篇 姚国正
  • 1 篇 shen xubang
  • 1 篇 富志
  • 1 篇 郭光灿
  • 1 篇 黄威森
  • 1 篇 zhiyuan he
  • 1 篇 刘云涛
  • 1 篇 沈旭玲

语言

  • 34 篇 英文
  • 4 篇 中文
检索条件"主题词=phase-locked loop"
38 条 记 录,以下是1-10 订阅
排序:
A Single-Event-Transient Hardened phase locked loop for Clock and Data Recovery
收藏 引用
Chinese Journal of Electronics 2024年 第2期33卷 353-361页
作者: Hengzhou YUAN Bin LIANG Hao SANG Weixia XU Yang GUO Xi CHEN National University of Defense Technology
A radiation-hardened phase-locked loop is proposed for phase interpolator clock and data recovery purposes. A sensitive node-compressed charge pump and multi-node cross coupling voltage-controlled oscillators are prop... 详细信息
来源: 同方期刊数据库 同方期刊数据库 评论
A frequency servo SoC with output power stabilization loop technology for miniaturized atomic clocks
收藏 引用
Journal of Semiconductors 2024年 第6期45卷 13-22页
作者: Hongyang Zhang Xinlin Geng Zonglin Ye Kailei Wang Qian Xie Zheng Wang School of Integrated Circuit Science and Engineering University of Electronic Science and Technology of ChinaChengdu 611731China
A frequency servo system-on-chip(FS-SoC)featuring output power stabilization technology is introduced in this study for high-precision and miniaturized cesium(Cs)atomic clocks.The proposed power stabilization loop(PSL... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论
Generation of visible Raman operation laser by a fiber electro-optical modulator feedback loop
收藏 引用
Chinese Optics Letters 2024年 第2期22卷 180-185页
作者: 李睿睿 叶蔚然 陈一龙 陈树谦 亓文昊 崔金明 黄运锋 李传锋 郭光灿 CAS Key Laboratory of Quantum Information University of Science and Technology of ChinaHefei 230026China CAS Center for Excellence in Quantum Information and Quantum Physics University of Science and Technology of ChinaHefei 230026China Hefei National Laboratory University of Science and Technology of ChinaHefei 230088China
phase-coherent multi-tone lasers play a critical role in atomic,molecular,and optical physics.Among them,the Raman opeartion laser for manipulating atomic hyperfine qubits requires gigahertz bandwidth and low phase no... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论
DC offset rejection in a frequency-fixed second-order generalized integrator-based phase-locked loop for single-phase grid-connected applications
收藏 引用
Protection and Control of Modern Power Systems 2022年 第1期7卷 1-13页
作者: Issam A.Smadi Bayan H.Bany Fawaz Electrical Engineering Department Jordan University of Science and TechnologyIrbid 22110Jordan
Fast and accurate monitoring of the phase,amplitude,and frequency of the grid voltage is essential for single-phase grid-connected converters.The presence of DC offset in the grid voltage is detrimental to not only gr... 详细信息
来源: 维普期刊数据库 维普期刊数据库 评论
Short locking time and low jitter phase-locked loop based on slope charge pump control
收藏 引用
Journal of Semiconductors 2010年 第10期31卷 79-85页
作者: 郭仲杰 刘佑宝 吴龙胜 汪西虎 唐威 Xi'an Microelectronic Technology Institute
A novel structure of a phase-locked loop (PLL) characterized by a short locking time and low jitter is presented, which is realized by generating a linear slope charge pump current dependent on monitoring the output... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论
A multiple-pass ring oscillator based dual-loop phase-locked loop
收藏 引用
Journal of Semiconductors 2009年 第10期30卷 132-136页
作者: 陈丹凤 任俊彦 邓晶晶 李巍 李宁 State Key Laboratory of ASIC & System Fudan University
A dual-loop phase-locked loop(PLL)for wideband operation is proposed.The dual-loop architecture combines a coarse-tuning loop with a fine-tuning one,enabling a wide tuning range and low voltage-controlled oscillator... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论
Design of radiation hard phase-locked loop at 2.5 GHz using SOS-CMOS
收藏 引用
Journal of Systems Engineering and Electronics 2009年 第6期20卷 1159-1166页
作者: Partha Pratim Ghosh Jung Sungyong Dept. of Electrical Engineering Univ. of Texas at Arlington Arlington TX 76019 USA
A radiation hard phase-locked loop (PLL) is designed at 2.5 GHz using silicon on sapphire complementary metal-oxide-semiconductor process. Radiation hardness is achieved through improving circuit design without sacr... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论
A 3.96 GHz phase-locked loop for mode-1 MB-OFDM UWB hopping carrier generation
收藏 引用
Journal of Semiconductors 2009年 第7期30卷 91-95页
作者: 郑永正 李伟男 夏玲琍 黄煜梅 洪志良 State Key Laboratory of ASIC & System Fudan University
A fully integrated phase-locked loop(PLL) is presented for a single quadrature output frequency of 3.96 GHz.The proposed PLL can be applied to mode-1 MB-OFDM UWB hopping carrier generation.An adaptive frequency cali... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论
A low spur,low jitter 10-GHz phase-locked loop in 0.13-μm CMOS technology
收藏 引用
Journal of Semiconductors 2011年 第3期32卷 100-104页
作者: 梅年松 孙瑜 陆波 潘姚华 黄煜梅 洪志良 State Key Laboratory of ASIC & Systems Fudan University
This paper presents a 10-GHz low spur and low jitter phase-locked loop(PLL).An improved low phase noise VCO and a dynamic phase frequency detector with a short delay reset time are employed to reduce the noise of th... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论
Design of a high performance CMOS charge pump for phase-locked loop synthesizers
收藏 引用
Journal of Semiconductors 2011年 第7期32卷 103-107页
作者: 李智群 郑爽爽 侯凝冰 Institute of RF-& OE-ICs Southeast University RFIC and System Engineering Research Center of the Ministry of Education of China Southeast University School of Integrated Circuits Southeast University
A new high performance charge pump circuit is designed and realized in 0.18μm CMOS process. A wide input ranged rail-to-rail operational amplifier and self-biasing cascode current mirror are used to enable the charge... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论