咨询与建议

限定检索结果

文献类型

  • 165 篇 期刊文献
  • 68 篇 学位论文
  • 10 篇 会议

馆藏范围

  • 243 篇 电子文献
  • 0 种 纸本馆藏

日期分布

学科分类号

  • 237 篇 工学
    • 126 篇 电子科学与技术(可...
    • 53 篇 信息与通信工程
    • 45 篇 计算机科学与技术...
    • 16 篇 仪器科学与技术
    • 14 篇 光学工程
    • 10 篇 机械工程
    • 6 篇 材料科学与工程(可...
    • 6 篇 电气工程
    • 3 篇 控制科学与工程
    • 2 篇 核科学与技术
    • 2 篇 网络空间安全
    • 1 篇 动力工程及工程热...
    • 1 篇 测绘科学与技术
    • 1 篇 生物医学工程(可授...
    • 1 篇 生物工程
  • 7 篇 理学
    • 4 篇 物理学
    • 3 篇 化学
    • 3 篇 系统科学
    • 1 篇 天文学
    • 1 篇 地球物理学
    • 1 篇 地质学
    • 1 篇 生物学
  • 4 篇 经济学
    • 4 篇 应用经济学
  • 3 篇 管理学
    • 2 篇 图书情报与档案管...
    • 1 篇 管理科学与工程(可...
  • 1 篇 医学
    • 1 篇 临床医学
  • 1 篇 艺术学
    • 1 篇 设计学(可授艺术学...

主题

  • 243 篇 serdes
  • 48 篇 fpga
  • 14 篇 lvds
  • 11 篇 低功耗
  • 9 篇 莱迪思半导体公司
  • 7 篇 时钟数据恢复
  • 7 篇 解串器
  • 6 篇 lattice
  • 6 篇 自适应
  • 6 篇 抖动
  • 6 篇 asic
  • 6 篇 串行
  • 6 篇 收发器
  • 6 篇 低成本
  • 5 篇 cdr
  • 5 篇 高速
  • 5 篇 视频数据
  • 5 篇 高速串行传输
  • 5 篇 锁相环
  • 5 篇 高科技

机构

  • 27 篇 电子科技大学
  • 12 篇 东南大学
  • 10 篇 国防科学技术大学
  • 9 篇 西安电子科技大学
  • 5 篇 南京邮电大学
  • 4 篇 辽宁大学
  • 4 篇 中国电子科技集团...
  • 3 篇 国防科技大学
  • 3 篇 中国电子科技集团...
  • 3 篇 中国航空计算技术...
  • 3 篇 贵州大学
  • 3 篇 中国电子科技集团...
  • 2 篇 广州宏控电子科技...
  • 2 篇 中航工业西安航空...
  • 2 篇 湖南省长沙市国防...
  • 2 篇 浙江大学
  • 2 篇 湖南省长沙市国防...
  • 2 篇 解放军理工大学
  • 2 篇 中国科学院近代物...
  • 2 篇 湖南大学

作者

  • 6 篇 邵刚
  • 6 篇 田泽
  • 2 篇 经继松
  • 2 篇 罗国相
  • 2 篇 唐龙飞
  • 2 篇 王新武
  • 2 篇 曹伟
  • 2 篇 龚坚
  • 2 篇 张旭东
  • 2 篇 万鸿
  • 2 篇 秦济龙
  • 2 篇 罗旸
  • 2 篇 张翼
  • 2 篇 邵雪璠
  • 2 篇 刘尧
  • 2 篇 徐智勇
  • 2 篇 池雅庆
  • 2 篇 马锡昆
  • 2 篇 张长春
  • 2 篇 孙永节

语言

  • 235 篇 中文
  • 8 篇 英文
检索条件"主题词=SerDes"
243 条 记 录,以下是1-10 订阅
排序:
56 Gbit/s低功耗分数间隔FFE PAM4 serdes发射机设计
收藏 引用
微电子学 2024年 第2期54卷 235-242页
作者: 王新武 张长春 张翼 王静 南京邮电大学集成电路科学与工程学院 东南大学毫米波国家重点实验室
采用65 nm CMOS工艺设计了一款用于高速芯片互联的四电平脉冲幅度调制(PAM4) serdes发射机。该发射机主要由最高有效位通道和最低有效位通道、时钟产生路径、前馈均衡模块、接口驱动电路等构成。采用一种无锁存的并串转换技术,以降低... 详细信息
来源: 同方期刊数据库 同方期刊数据库 评论
56 Gb/s低功耗分数间隔FFE PAM4serdes发射机设计
收藏 引用
微电子学 2024年
作者: 王新武 张长春 张翼 王静 东南大学毫米波国家重点实验室 南京邮电大学集成电路科学与工程学院
采用65 nm CMOS工艺设计了一款用于高速芯片互联的4电平脉冲幅度调制(PAM4)serdes发射机。整体电路包括最高有效位通道和最低有效位通道、时钟产生路径、前馈均衡模块、接口驱动电路。采用一种无锁存的并串转换技术,降低功耗。采用一... 详细信息
来源: 同方期刊数据库 同方期刊数据库 评论
基于FPGA高速serdes接口的收发模块握手协议设计
收藏 引用
电子科技 2024年
作者: 刘正强 洪徐健 孙卫红 上海三思电子工程有限公司电子电气研究所 中国计量大学机电工程学院
为提升FPGA(Field Programmable Gate Array)高速serdes通讯稳定性,实时监控其通讯状态,文中设计了一种基于K码控制字符的通讯协议。创建标志用户数据帧起始的动态SOF(Start of Frame)和标志结束的静态EOF(End of Frame)两种K... 详细信息
来源: 同方期刊数据库 同方期刊数据库 评论
塑闪探测器读出系统的高速串行数据传输模块设计
收藏 引用
核电子学与探测技术 2024年
作者: 张岁锴 孔洁 严春满 魏子洋 西北师范大学物理与电子工程学院 中国科学院近代物理研究所
针对塑闪探测器读出系统对高速串行数据传输的需求,本文设计了一种基于FPGA的高速串行数据传输模块,旨在实现塑闪探测器读出系统的高效数据传输。该模块采用串行/解串(Serializer/Deserializer,serdes)器件TLK2711,构建全双工点... 详细信息
来源: 同方期刊数据库 同方期刊数据库 评论
Chiplet技术发展与挑战
收藏 引用
集成电路与嵌入式系统 2024年 第2期24卷 10-22页
作者: 刘朝阳 任博琳 王则栋 吕方旭 郑旭强 中国科学院微电子研究所 北京100029 国防科技大学计算机学院 长沙410073
随着半导体工艺尺寸逐渐逼近物理极限,芯片的功耗、性能和面积随工艺制程进步而带来的提升越来越小,半导体技术进入“后摩尔时代”。为进一步满足机器学习与人工智能等信息通信行业快速发展带来的高带宽通信需求,基于先进的互连和封装... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论
基于FPGA的LVDS多通道视频流自动校准设计与实现
收藏 引用
电子技术应用 2024年 第6期50卷 84-88页
作者: 陈宁 谯谊 雷伟林 杜柏峰 赵阳生 利亚德集团智能显示研究院 北京100089 中国石油大学(北京)信息科学与工程学院/人工智能学院 北京102249
基于Micro-LED产品系列,设计了一种基于FPGA的LVDS自动校准多通道视频流传输系统。系统平台外挂GSV2011解码芯片,把解码得到的2K@120Hz/4K@60Hz视频流信号,通过自定义封装为80bit数据推送到LYDNT27001恒流源显示驱动芯片。系统中视频流... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论
Jitter analysis and modeling of a 10 Gbit/s serdes CDR and jitter attenuation PLL
收藏 引用
The Journal of China Universities of Posts and Telecommunications 2011年 第6期18卷 122-126页
作者: WANG Hui CHEN Ying-mei YI Lv-fan WEN Guan-guo Institute of RF- & OE-ICs Southeast University Nanjing 210096 China Zhongxing Telecom Equipment Corporation Shenzhen 518055 China
Jitter analysis and a linear model is proposed in this paper which predicts the characteristics of serial-deserial (serdes) clock and data recovery circuit, and the characteristics include jitter transfer, jitter to... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论
Design of a 6.25 Gbps backplane serdes with adaptive decision feedback equalization
收藏 引用
High Technology Letters 2009年 第4期15卷 409-415页
作者: 周明珠 Zhu En Wang Zhigong Institute of Radio Frequency and Optoelectronic ICs Southeast University Nanjing 210096 P.R. China
A 6.25 Gbps serdes core used in the high speed backplane communication receiver has been designedbased on the OIF-CEI-02.0 standard. To counteract the serious Inter-Symbol-Interference (ISI),the core employed a half-r... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论
serdes接口测试模块设计与实现
SerDes接口测试模块设计与实现
收藏 引用
作者: 唐凌帆 电子科技大学
学位级别:硕士
串行解串技术(Serializer-Deserializer,serdes)作为一种高效的通信技术,近年来逐渐成为中长传输距离下主流的高速串行传输技术。现如今serdes技术被广泛地应用在各个领域的高速通信系统之中,因此针对serdes接口芯片的测试及其结果对... 详细信息
来源: 同方学位论文库 同方学位论文库 评论
适用于serdes接收器DFE的高速动态比较器
收藏 引用
微电子学 2023年 第5期53卷 794-799页
作者: 邵雪璠 刘珂 尹飞飞 刘兴辉 辽宁大学 沈阳110036 山东芯慧微电子科技有限公司 济南250100
serdes电路中,高速数据传输的关键在于均衡的速率,因此随着serdes对数据传输速率要求越来越高,对serdes中接收器的判决反馈均衡器的速率要求也在提高。作为自适应判决反馈均衡器的关键组成部分,比较器的延时大小决定了自适应均衡器的... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论