咨询与建议

限定检索结果

文献类型

  • 2 篇 期刊文献

馆藏范围

  • 2 篇 电子文献
  • 0 种 纸本馆藏

日期分布

学科分类号

  • 2 篇 工学
    • 2 篇 计算机科学与技术...
    • 1 篇 电气工程
    • 1 篇 电子科学与技术(可...

主题

  • 2 篇 加法器树
  • 2 篇 乘法器
  • 1 篇 编码
  • 1 篇 编程语言
  • 1 篇 快速加法器
  • 1 篇 仿真
  • 1 篇 移位相加

机构

  • 1 篇 商洛学院
  • 1 篇 西安电子科技大学
  • 1 篇 西安科技大学

作者

  • 1 篇 穆荣
  • 1 篇 赵杰
  • 1 篇 焦继业
  • 1 篇 郝跃

语言

  • 2 篇 中文
检索条件"主题词=加法器树"
2 条 记 录,以下是1-10 订阅
排序:
快速设计高性能有符号乘法器电路的编程语言研究
收藏 引用
电子学报 2013年 第11期41卷 2256-2261页
作者: 焦继业 穆荣 郝跃 西安电子科技大学宽禁带半导体材料与器件教育部重点实验室 陕西西安710071 西安科技大学网络中心 陕西西安710054
提出了一种有符号乘法器电路的编程语言,其核心思想是采用指令表示乘法器的编码器、加法器树、快速加法器等三个部分,然后经由指令描述互联关系形成乘法器.通过Lex/Yacc构成编译器,解析程序得到乘法器的Verilog代码.采用该设计语言生成... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论
基于VHDL的乘法器的设计与对比
收藏 引用
商洛学院学报 2015年 第6期29卷 3-6页
作者: 赵杰 商洛学院电子信息与电气工程学院 陕西商洛726000
在数字系统中,乘法器是进行数字信号运算的核心运算单元,同时也是微处理器中进行数据处理的关键部分。以8位乘法器为例,根据简单并行乘法器加法器树法器和移位相加乘法器的基本原理,利用VHDL分别进行描述和实现。对三种乘法器分别通... 详细信息
来源: 维普期刊数据库 维普期刊数据库 同方期刊数据库 同方期刊数据库 评论